phy: Improve NLP timeout accuracy

This commit is contained in:
Markus Koch 2022-01-23 16:01:33 +01:00
parent afb545cd02
commit 1bcddf4273
1 changed files with 1 additions and 1 deletions

View File

@ -56,7 +56,7 @@ begin
signal bit_cnt : integer range 0 to 7;
-- NLP supervision
constant NLP_TIMEOUT_CNT_MAX : integer := integer(round(real(F_CLK) * 16.0 * 10.0 ** (-3))); -- Every 16 ms
constant NLP_TIMEOUT_CNT_MAX : integer := integer(round(real(F_CLK) * 16.0 * 10.0 ** (-3))) - 1; -- Every 16 ms
signal nlp_timeout_cnt : integer range 0 to NLP_TIMEOUT_CNT_MAX;
begin
-- Synchronize RX input