eth: tx: Fix polarity of tx_active checks

This commit is contained in:
Markus Koch 2022-05-15 14:27:26 +02:00
parent eab8783c6b
commit 461c7ebce1
1 changed files with 2 additions and 2 deletions

View File

@ -124,7 +124,7 @@ begin
elsif rising_edge(clk) then
case state is
when IDLE =>
if mac_out.tx_active then
if not mac_out.tx_active then
if (ethernet_i_in.tx_en) then -- ETH I has priority
sel <= SEL_ETH_I;
state <= TXD;
@ -140,7 +140,7 @@ begin
end if;
when TXD =>
state <= IDLE when (mac_in.tx_mac_data_en or mac_out.tx_active);
state <= IDLE when (mac_in.tx_mac_data_en or not mac_out.tx_active);
end case;
end if;
end process arb;