eth: Fix missing reset for tx sel

This commit is contained in:
Markus Koch 2022-10-31 16:55:13 +01:00
parent 277d01737d
commit e421ba0dff
1 changed files with 1 additions and 0 deletions

View File

@ -120,6 +120,7 @@ begin
arb : process(clk, rst) is
begin
if rst then
sel <= SEL_ETH_I;
elsif rising_edge(clk) then
case state is