trashernet/cocotb/hw_itl.sh

6 lines
90 B
Bash
Raw Permalink Normal View History

2022-04-29 20:53:20 +02:00
#!/bin/bash
echo Hardware in the loop test
make TOPLEVEL=cocotb_top_hwitl MODULE=hw_itl