eth: tx: Remove accidental latch

This commit is contained in:
Markus Koch 2022-05-15 14:30:45 +02:00
parent 461c7ebce1
commit 50b65bccec
1 changed files with 5 additions and 0 deletions

View File

@ -147,6 +147,11 @@ begin
mux : process(all) is
begin
-- Defaults to avoid latch
tx_data_ack_i <= '0';
tx_data_ack_ii <= (others => '0');
-- Actual MUX
if (sel = SEL_ETH_I) then
mac_in.tx_mac_data_en <= ethernet_i_in.tx_en;
mac_in.tx_mac_data <= ethernet_i_in.tx_data;