arp: Rename timeout_tick to systick

This commit is contained in:
Markus Koch 2022-10-30 17:27:43 +01:00
parent 3240f363ba
commit 8d9ca9e784
2 changed files with 6 additions and 6 deletions

View File

@ -216,12 +216,12 @@ architecture eth of top_hwitl is
begin begin
trashernet_arp_inst : entity trashernet.trashernet_arp trashernet_arp_inst : entity trashernet.trashernet_arp
generic map( generic map(
TIMEOUT_TICK_FREQ => F_CLK SYSTICK_FREQ => F_CLK
) )
port map( port map(
clk => clk, clk => clk,
rst => rst, rst => rst,
timeout_tick => '1', systick => '1',
mac_config => ETH_CONFIG, mac_config => ETH_CONFIG,
ip_config => IP_CONFIG, ip_config => IP_CONFIG,
arp_out => arp_out, arp_out => arp_out,

View File

@ -17,13 +17,13 @@ use work.trashernet_pkg.all;
entity trashernet_arp is entity trashernet_arp is
generic( generic(
TIMEOUT_TICK_FREQ : integer SYSTICK_FREQ : integer
); );
port( port(
-- Global -- Global
clk : in std_logic; -- Global clock clk : in std_logic; -- Global clock
rst : in std_logic; -- Asynchronous reset rst : in std_logic; -- Asynchronous reset
timeout_tick : in std_logic; -- Global timeout tick strobe systick : in std_logic; -- Global time reference for slow events (here: timeout)
-- Configuration -- Configuration
mac_config : in configuration_t; -- Trashernet MAC configuration mac_config : in configuration_t; -- Trashernet MAC configuration
@ -124,14 +124,14 @@ begin
timeout_timer_inst : entity work.timer timeout_timer_inst : entity work.timer
generic map( generic map(
F_TICK => TIMEOUT_TICK_FREQ, F_TICK => SYSTICK_FREQ,
DURATION => ARP_TIMEOUT, DURATION => ARP_TIMEOUT,
AUTOSTART => false AUTOSTART => false
) )
port map( port map(
clk => clk, clk => clk,
rst => rst, rst => rst,
tick => timeout_tick, tick => systick,
start => arp_tx_request_rq, start => arp_tx_request_rq,
expired => query_timeout, expired => query_timeout,
expired_stb => open expired_stb => open