eth: Fix incorrect TX exit condition

feature/ipv4
Markus Koch 2022-10-31 16:56:17 +01:00
parent e421ba0dff
commit 9d9b3b1cce
1 changed files with 1 additions and 1 deletions

View File

@ -141,7 +141,7 @@ begin
end if;
when TXD =>
state <= IDLE when (mac_in.tx_mac_data_en or not mac_out.tx_active);
state <= IDLE when (not mac_in.tx_mac_data_en or not mac_out.tx_active);
end case;
end if;
end process arb;