Rename types package to general trashernet package

This commit is contained in:
Markus Koch 2021-09-25 11:07:12 +02:00
parent 1bff61d24a
commit 9addd97b57
7 changed files with 10 additions and 10 deletions

View File

@ -12,7 +12,7 @@ library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
library trashernet; library trashernet;
use trashernet.trashernet_types.all; use trashernet.trashernet_pkg.all;
package bench_pkg is package bench_pkg is
procedure send_data(signal rx_p : inout std_logic; constant data : in byte_vector); procedure send_data(signal rx_p : inout std_logic; constant data : in byte_vector);

View File

@ -16,7 +16,7 @@ use ieee.numeric_std.all;
use work.bench_pkg.all; use work.bench_pkg.all;
library trashernet; library trashernet;
use trashernet.trashernet_types.all; use trashernet.trashernet_pkg.all;
entity bench_trashernet_mac is entity bench_trashernet_mac is
end entity bench_trashernet_mac; end entity bench_trashernet_mac;

View File

@ -16,7 +16,7 @@ use ieee.numeric_std.all;
use work.bench_pkg.all; use work.bench_pkg.all;
library trashernet; library trashernet;
use trashernet.trashernet_types.all; use trashernet.trashernet_pkg.all;
entity bench_trashernet_phy is entity bench_trashernet_phy is
end entity bench_trashernet_phy; end entity bench_trashernet_phy;

View File

@ -13,7 +13,7 @@ library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
use ieee.numeric_std.all; use ieee.numeric_std.all;
use work.trashernet_types.all; use work.trashernet_pkg.all;
entity trashernet_mac is entity trashernet_mac is
port( port(

View File

@ -14,7 +14,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all; use ieee.numeric_std.all;
use ieee.math_real.all; use ieee.math_real.all;
use work.trashernet_types.all; use work.trashernet_pkg.all;
entity trashernet_phy is entity trashernet_phy is
generic( generic(

View File

@ -13,7 +13,7 @@ library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
use ieee.numeric_std.all; use ieee.numeric_std.all;
use work.trashernet_types.all; use work.trashernet_pkg.all;
entity trashernet_phy_cdc is entity trashernet_phy_cdc is
generic( generic(

View File

@ -11,14 +11,14 @@
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
package trashernet_types is package trashernet_pkg is
subtype byte is std_logic_vector(7 downto 0); subtype byte is std_logic_vector(7 downto 0);
type byte_vector is array (natural range <>) of byte; type byte_vector is array (natural range <>) of byte;
subtype mac_addr_t is byte_vector(0 to 5); subtype mac_addr_t is byte_vector(0 to 5);
subtype ip_addr_t is byte_vector(0 to 3); subtype ip_addr_t is byte_vector(0 to 3);
subtype ethertype_t is byte_vector(0 to 1); subtype ethertype_t is byte_vector(0 to 1);
end package trashernet_types; end package trashernet_pkg;
package body trashernet_types is package body trashernet_pkg is
end package body trashernet_types; end package body trashernet_pkg;